Close menu

SURE

Sunderland Repository records the research produced by the University of Sunderland including practice-based research and theses.

Efficient Detailed Routing for FPGA Back-End Flow Using Reinforcement Learning

Baig, Imran and Farooq, Umer (2022) Efficient Detailed Routing for FPGA Back-End Flow Using Reinforcement Learning. Electronics, 11 (14). p. 2240. ISSN 2079-9292

Item Type: Article

Abstract

Over the past few years, the computation capability of field-programmable gate arrays (FPGAs) has increased tremendously. This has led to the increase in the complexity of the designs implemented on FPGAs and to the time taken by the FPGA back-end flow. The FPGA back-end flow comprises of many steps, and routing is one of the most critical steps among them. Routing normally constitutes more than 50% of the total time taken by the back-end flow and an optimization at this step can lead to overall optimization of the back-end flow. In this work, we propose enhancements to the routing step by incorporating a reinforcement learning (RL)-based framework. In the proposed RL-based framework, we use the ????-greedy approach and customized reward functions to speed up the routing step while maintaining similar or better quality of results (QoR) as compared to the conventional negotiation-based congestion-driven routing solution. For experimentation, we use two sets of widely deployed, large heterogeneous benchmarks. Our results show that, for the RL-based framework, the ????-greedy greedy approach combined with a modified reward function gives better results as compared to purely greedy or exploratory approaches. Moreover, the incorporation of the proposed reward function in the RL-based framework and its comparison with a conventional routing algorithm shows that the proposed enhancement requires less routing time while giving similar or better QoR. On average, a speedup of 35% is recorded for the proposed routing enhancement as compared to negotiation-based congestion-driven routing solutions. Finally, the speedup of the routing step leads to an overall reduction in the execution time of the back-end flow of 25%.

[img]
Preview
PDF
electronics-11-02240.pdf - Published Version
Available under License Creative Commons Attribution.

Download (548kB) | Preview

More Information

Uncontrolled Keywords: FPGA back-end flow; reinforcement learning; routing
Depositing User: Umer Farooq

Identifiers

Item ID: 14975
Identification Number: https://doi.org/10.3390/electronics11142240
ISSN: 2079-9292
URI: http://sure.sunderland.ac.uk/id/eprint/14975
Official URL: https://www.mdpi.com/2079-9292/11/14/2240

Users with ORCIDS

ORCID for Umer Farooq: ORCID iD orcid.org/0000-0002-5220-4908

Catalogue record

Date Deposited: 15 Aug 2022 14:55
Last Modified: 22 Mar 2024 14:45

Contributors

Author: Umer Farooq ORCID iD
Author: Imran Baig

University Divisions

Faculty of Technology > School of Engineering

Subjects

Engineering > Electrical Engineering

Actions (login required)

View Item View Item